當(dāng)前位置:儀器交易網(wǎng) » 公司 » 北京北信創(chuàng)展自動(dòng)化有限公司 » 新聞中心

在線溝通:

沒有合適的產(chǎn)品?是否在線詢價(jià)?
詢價(jià)標(biāo)題
聯(lián)系人
電話
主要內(nèi)容
驗(yàn)證碼  

公司名稱:北京北信創(chuàng)展自動(dòng)化有限公司

聯(lián)系人:連經(jīng)理

電話:13811130898

手機(jī):13811130898

傳真:010-82694481

郵件:1121144324@qq.com

地址:北京市德外西三旗泰華龍旗廣場(chǎng)2號(hào)樓

 
新聞中心

模塊化EDA/SOP實(shí)驗(yàn)系統(tǒng)

發(fā)布時(shí)間:2015-12-21瀏覽次數(shù):1345返回列表

 清華大學(xué)許忠信教授對(duì)于此書參評(píng)級(jí)規(guī)劃教材的評(píng)語是
 
“由潘松教授主編、科學(xué)出版社出版的<
   EDA技術(shù)實(shí)用教程》有兩個(gè)版本,VHDL版和VerilogHDL版。圖中顯示的是第4版的畫面,但作者推薦選用第5版。第5版教材于今年5月出版?!禘DA技術(shù)實(shí)用教程》的特色是:
1注重實(shí)踐、實(shí)用和創(chuàng)新能力的培養(yǎng)。除在各章中安排了許多習(xí)題外,大部分章節(jié)都安排了許多針對(duì)性強(qiáng)的實(shí)驗(yàn)與設(shè)計(jì)項(xiàng)目,使學(xué)生對(duì)每一章的課堂教學(xué)內(nèi)容和教學(xué)效果能及時(shí)通過實(shí)驗(yàn)得以消化和強(qiáng)化。并盡可能地從學(xué)習(xí)一開始就有機(jī)會(huì)將理論知識(shí)與實(shí)踐及設(shè)計(jì)緊密聯(lián)系起來。這些項(xiàng)目涉及的技術(shù)領(lǐng)域?qū)?,知識(shí)涉獵密集、針對(duì)性強(qiáng),而且創(chuàng)新意識(shí)的啟示性好。與示例一樣,所有的實(shí)驗(yàn)項(xiàng)目都通過了EDA工具的仿真測(cè)試并通過FPGA平臺(tái)的硬件驗(yàn)證。每一個(gè)實(shí)驗(yàn)項(xiàng)目除給出詳細(xì)的實(shí)驗(yàn)?zāi)康?、原理和?bào)告要求外,都含多個(gè)子任務(wù),通常分為:層次實(shí)驗(yàn)任務(wù)是與該章某個(gè)闡述內(nèi)容相關(guān)的驗(yàn)證性實(shí)驗(yàn);第二層次實(shí)驗(yàn)任務(wù)是要求在上一實(shí)驗(yàn)基礎(chǔ)上做一些改進(jìn)和發(fā)揮;第三層次的實(shí)驗(yàn)通常是提出設(shè)計(jì)的要求和任務(wù);在更高的實(shí)驗(yàn)任務(wù)層次則在僅給出一些提示的情況下提出創(chuàng)新性設(shè)計(jì)的要求。
2的教學(xué)模式成就速成。根據(jù)硬件描述語言的特點(diǎn),摒棄流行的計(jì)算機(jī)語言的教學(xué)模式,而以電子線路設(shè)計(jì)為基點(diǎn),采用了基于情景和工作過程的教學(xué)模式,從實(shí)例的介紹中引出HDL語句語法內(nèi)容及深入淺出的教學(xué)流程,同時(shí)安排了大量對(duì)HDL學(xué)習(xí)有針對(duì)性的示例和實(shí)驗(yàn)項(xiàng)目,使讀者能盡早進(jìn)入數(shù)字系統(tǒng)工程設(shè)計(jì)經(jīng)驗(yàn)的積累和能力提高階段,并能通過這些面向?qū)嶋H的實(shí)踐和實(shí)驗(yàn)活動(dòng),快速深化對(duì)HDL的理解,并掌握對(duì)應(yīng)的設(shè)計(jì)技巧。
3更加強(qiáng)調(diào)實(shí)踐性。此教材的結(jié)構(gòu)特點(diǎn)決定了授課課時(shí)數(shù)可十分靈活,視具體的專業(yè)特點(diǎn)、課程定位及學(xué)習(xí)者的前期教育力度等因素而定,大致在20至50學(xué)時(shí)之間選擇。為了有效倍增學(xué)生的實(shí)踐和設(shè)計(jì)的時(shí)間,教材建議借鑒清華大學(xué)的一項(xiàng)教改措施,即其電子系本科生從一入學(xué)就人手獲得一塊FPGA實(shí)驗(yàn)開發(fā)板。這是因?yàn)镋DA技術(shù)本身就是一個(gè)可把全部實(shí)驗(yàn)和設(shè)計(jì)帶回家的課程。使他們能利用自己的計(jì)算機(jī)在課余時(shí)間完成設(shè)計(jì)項(xiàng)目,強(qiáng)化學(xué)習(xí)效果。
相比于前面4版,第五版的更為明顯:1語法的情景法描述更為直觀,示例更為典型,結(jié)構(gòu)更完整;2對(duì)于工程設(shè)計(jì)十分重要的狀態(tài)機(jī)應(yīng)用一章有了更多的點(diǎn)睛之處,而在之后還增加了一章基于狀態(tài)機(jī)的16位CPU詳細(xì)設(shè)計(jì)的內(nèi)容,將狀態(tài)機(jī)的應(yīng)用引向深入。特別是還加入了CPU創(chuàng)新設(shè)計(jì)競(jìng)賽項(xiàng)目和指導(dǎo);3鑒于Altera已將Quartus II 10.0及此后版本的軟件中曾經(jīng)一貫內(nèi)置的門級(jí)波形仿真器移除了,并推薦使用接口于Quartus II的ModelSim仿真器,第五版針對(duì)Test Bench仿真,增加了ModelSim-Altera的使用方法;4增加的另一章是介紹單片機(jī)與FPGA接口技術(shù),及基于單片機(jī)IP核的FPGA片上系統(tǒng)開發(fā)的內(nèi)容,這對(duì)電子設(shè)計(jì)競(jìng)賽培訓(xùn)很有用;5刪去了不太合適的實(shí)驗(yàn)項(xiàng)目和原來的SOPC內(nèi)容(擬推相關(guān)教材)。
一、模塊化EDA/SOPC實(shí)驗(yàn)與創(chuàng)新設(shè)計(jì)綜合實(shí)驗(yàn)系統(tǒng)
由于以上介紹的4教材中大量的實(shí)驗(yàn)和設(shè)計(jì)項(xiàng)目涉及許多不同類型的,可自由增減的擴(kuò)展模塊,主系統(tǒng)平臺(tái)上有許多標(biāo)準(zhǔn)接口。以其為核心,對(duì)于不同的實(shí)驗(yàn)設(shè)計(jì)項(xiàng)目,可接插上對(duì)應(yīng)的接口模塊。如VGA/PS2模塊、TFT數(shù)字彩色液晶模塊、USB模塊、寬位數(shù)據(jù)輸入輸出模塊、SD卡模塊、點(diǎn)陣液晶模塊、各類存儲(chǔ)器模塊、各類A/D與D/A模塊及各類鍵盤模塊等;這些模塊可以是現(xiàn)成的,也可以根據(jù)主系統(tǒng)平臺(tái)的標(biāo)準(zhǔn)接口和創(chuàng)新要求由教師或?qū)W生自行開發(fā)。
     通常,諸如EDA技術(shù)、計(jì)算機(jī)組成與設(shè)計(jì)、微機(jī)原理與接口技術(shù)、單片機(jī)技術(shù)等傳統(tǒng)實(shí)驗(yàn)平臺(tái)多數(shù)是整體結(jié)構(gòu)型的,雖也可完成多種類型實(shí)驗(yàn),但由于整體結(jié)構(gòu)不可變動(dòng),實(shí)驗(yàn)項(xiàng)目和類型是預(yù)先設(shè)定和固定的,很難有發(fā)揮的余地,對(duì)于學(xué)生的創(chuàng)新思想與創(chuàng)新設(shè)計(jì)如果與實(shí)驗(yàn)系統(tǒng)的結(jié)構(gòu)不吻合,便無法在此平臺(tái)上獲得驗(yàn)證;同樣,教師若有新的創(chuàng)實(shí)驗(yàn)項(xiàng)目,也無法及時(shí)融入實(shí)驗(yàn)系統(tǒng)供學(xué)生發(fā)揮。因此此類平臺(tái)不具備可持續(xù)拓展的潛力,也沒有隨需要更新和升級(jí)的能力。特別是針對(duì)EDA/SOPC的創(chuàng)新實(shí)驗(yàn),涉及的設(shè)計(jì)項(xiàng)目更多,結(jié)構(gòu)變化更大,系統(tǒng)更復(fù)雜,完全不可能預(yù)知后設(shè)計(jì)出的創(chuàng)新項(xiàng)目應(yīng)該包含哪些功能結(jié)構(gòu)和接口模塊。顯然,多模塊自由組合結(jié)構(gòu)給出了的解決方案。
不難理解,模塊自由組合型創(chuàng)新設(shè)計(jì)綜合實(shí)驗(yàn)系統(tǒng),已成為高校目前十分流行的實(shí)踐平臺(tái)
以下向用戶推薦幾款從低到高實(shí)驗(yàn)系統(tǒng),所配置的模塊以序號(hào)來代替,或用戶根據(jù)需要自行配置▲ 現(xiàn)代數(shù)字電路與數(shù)字系統(tǒng)設(shè)計(jì)實(shí)驗(yàn)、基礎(chǔ)EDA實(shí)驗(yàn)、針對(duì)VHDL/Verilog語言學(xué)習(xí)的實(shí)驗(yàn)與設(shè)計(jì);
▲ EDA與SOPC創(chuàng)新設(shè)計(jì)實(shí)驗(yàn)與相關(guān)科研開發(fā)項(xiàng)目、大學(xué)生電子設(shè)計(jì)競(jìng)賽培訓(xùn)項(xiàng)目(注意,由于標(biāo)準(zhǔn)模塊結(jié)構(gòu)上的一般性,以及可以脫離主系統(tǒng)平臺(tái)現(xiàn)成系統(tǒng),因此模塊可以直接用作電子競(jìng)賽的作品參加評(píng)審);
▲ 基于計(jì)算機(jī)原理與組織實(shí)驗(yàn)與設(shè)計(jì),包括8位/16位CISC和RISC流水線型 CPU的設(shè)計(jì)、實(shí)現(xiàn)與測(cè)試;
▲ 基于32位Nios II嵌入式處理器和Qsys開發(fā)環(huán)境的SOPC實(shí)驗(yàn)與開發(fā);
▲ 基于Open RISC 1200系列的32位RISC處理器嵌入式SOC系統(tǒng)實(shí)驗(yàn)與開發(fā);
▲ 基于微機(jī)原理與接口技術(shù)的8088/8086 IP核的微機(jī)SOC系統(tǒng),以及8253定時(shí)器IP核、8237 DMA IP核、8259中斷控制IP核、8255可編程I/O IP核和8250 UART串行通信IP等核構(gòu)建的單片F(xiàn)PGA SOC微機(jī)系統(tǒng);此FPGA內(nèi)部SRAM中含BIOS啟動(dòng)ROM、顯示緩存、PS2緩存等;能啟動(dòng)MS-DOS操作系統(tǒng)和Windows操作系統(tǒng),在VGA顯示器上用PS2鍵盤和鼠標(biāo)完成所有DOS和部分Windows命令及運(yùn)行各種基于命令行的傳統(tǒng)軟件與視窗軟件;單片機(jī)與FPGA接口實(shí)驗(yàn)與設(shè)計(jì);基于8051單片機(jī)IP核的SOC片上系統(tǒng)設(shè)計(jì)系列實(shí)驗(yàn)等。
○ 基本軟件:1)Quartus II 9.0/12.0;2)ModelSim;3)Synplify;4)IDE;5)8051單片機(jī)IP核;6)8088/8086 CPU IP核;7)8088/8086微機(jī)系統(tǒng)接口模塊IP核:8253核、8237核、8259核、8255IP核和8250等IP核;8)Nios II;
 一、基本平臺(tái) 編號(hào)A  
☆此平臺(tái)多可同時(shí)插12 塊模塊板。
☆ KX_USB-Blaster2型雙功能編程器:(1)USB-Blaster編程下載功能(支持AS、PS、JTAG模式):1、對(duì)FPGA/CPLD進(jìn)行配置或編程;2、對(duì)配置器件EPCSx編程;3、訪問和編輯FPGA內(nèi)部RAM;4、調(diào)試Nios2,完成SOPC設(shè)計(jì);5、支持SignalTapII 嵌入式邏輯分析儀。
(2)USB到UART串行通信轉(zhuǎn)換:1、通過USB與FPGA串行通信,實(shí)現(xiàn)PC與FPGA的串行通信,且無需RS232電平轉(zhuǎn)換;2、通過USB與單片機(jī)的串行通信,實(shí)現(xiàn)PC與通用單片機(jī)的UART串行通信;3、通過USB
對(duì)STC等系列單片機(jī)進(jìn)行直接編程開發(fā),無需電平轉(zhuǎn)換。
 ByteBlasterMV編程器一個(gè)(可對(duì)isp單片機(jī)編程)。
☆ 5功能智能邏輯筆:可顯示高電平、低電平、中電平、高阻態(tài)、脈沖信號(hào)。注意有“高阻態(tài)”測(cè)試功能。
☆ 的標(biāo)準(zhǔn)時(shí)鐘頻率20個(gè)。20MHZ-0.5HZ。
☆ 電源有自動(dòng)保護(hù)的+5V,+12V、-12V、、+3.3V、2.5V+、1.2V。
☆ 8個(gè)LED放光二級(jí)管,8個(gè)乒乓開關(guān),揚(yáng)聲器。
☆ DDS信號(hào)輸出口及幅度、偏移調(diào)諧。
編號(hào):B2、FPGA模塊二
 Cyclone III FPGA EP3C40Q240,約300萬門、4鎖相環(huán),120萬RAM bit,4萬LCs,16M Flash EPCS16,超寬超高鎖相環(huán)輸出頻率1300MHz至2kHz,22對(duì)LVDS差分通道,252個(gè)9X9bit數(shù)字乘法器等,板上還有3032 CPLD、16M EPCS16 Flash、32M SDRAM。提供多種IP核:32位NiosII核、20MHz有源晶振等。
FPGA板包含 8051/52 IP核。提供商業(yè)級(jí)全兼容MCS-51單片機(jī)IP核。利用此核,實(shí)驗(yàn)者可以實(shí)現(xiàn)傳統(tǒng)單片機(jī)實(shí)驗(yàn)系統(tǒng)無法達(dá)到的SOC(片上系統(tǒng))設(shè)計(jì)。即將單片機(jī)CPU、RAM、ROM以及其它各類接口電路模塊設(shè)計(jì)在同一片F(xiàn)PGA中。此類技術(shù)對(duì)于對(duì)于面向高新技術(shù)企業(yè)的就業(yè)十分必要。 8088、8086 CPU IP核。 825 IP核模塊;825 IP核(I/O接口);8253/8254 IP核(定時(shí)器);8250 IP核(UART串行通信);8237 IP核(DMA控制器);8259 IP核(可編程中斷控制器),以及基于FPGA的RAM/ROM核、鎖相環(huán)核等。這些IP核與8088CPU核相結(jié)合就能在單片F(xiàn)PGA中構(gòu)成一個(gè)微機(jī)系統(tǒng),從而學(xué)習(xí)到實(shí)用的SOC設(shè)計(jì)工程技術(shù)。FPGA中的8088核與MCS-31單片機(jī)核及其中的各種模塊和核都能與以下各模塊結(jié)合,實(shí)現(xiàn)不同類型的實(shí)驗(yàn)開發(fā)。
編號(hào):C5、可重構(gòu)型DDS全數(shù)字函數(shù)信號(hào)發(fā)生器模塊
全數(shù)字型DDS函數(shù)信號(hào)發(fā)生器模塊,含F(xiàn)PGA、單片機(jī)、超高速DAC、高速運(yùn)放等。既可用作全數(shù)字型DDS函數(shù)信號(hào)發(fā)生器,同時(shí)也可作為EDA/DSP系統(tǒng)及專業(yè)級(jí)DDS函數(shù)信號(hào)發(fā)生器設(shè)計(jì)開發(fā)平臺(tái)。作為DDS函數(shù)發(fā)生器的功能主要包括:等精度頻率計(jì),全程掃頻信號(hào)源(掃速、步進(jìn)頻寬、掃描方式等可數(shù)控),移相信號(hào)發(fā)生,里薩如圖信號(hào)發(fā)生,方波/三角波/鋸齒波和任意波形發(fā)生器,以及AM、PM、FM、FSK、ASK、FPK等各類調(diào)制信號(hào)發(fā)生器。
編號(hào):C6、MCS-51單片機(jī)模塊
可對(duì)AT89S51、AT89S52、AT89S8253等單片機(jī)進(jìn)行實(shí)驗(yàn)開發(fā),可對(duì)不同模塊進(jìn)行編程控制(配STC89S51)??赏ㄟ^康芯提供的雙功能下載器USB-RS232,即USB轉(zhuǎn)串口對(duì)單片機(jī)(P3.0和P3.1)編程,這種方式更方便

  • 點(diǎn)擊這里給我發(fā)消息